]> granicus.if.org Git - strace/history - xlat/rename_flags.in
tests: add valgrind support to "make check"
[strace] / xlat / rename_flags.in
2016-04-26 Dmitry V. LevinAdd default values for RENAME_* constants
2015-09-14 Dmitry V. LevinUpdate RENAME_* constants
2014-08-15 Mike Frysingerrenameat2: add decoding support